FPGA硬件电子琴.doc
《FPGA硬件电子琴.doc》由会员分享,可在线阅读,更多相关《FPGA硬件电子琴.doc(12页珍藏版)》请在课桌文档上搜索。
1、word课程设计报告电子设计自动化题 目学 院专 业班 级姓 名指导教师年月日电子琴的设计摘 要:用可编程逻辑器件PLD来完成该设计。核心是一数控分频器,对输入的脉冲进展分频,得到每个音阶对应的频率,由此实现简易电子琴的发音功能。电子琴可演奏由键盘输入的音阶,同时在数码管上显示对应音节的频率。本设计基于超高速硬件描述语言VHDL在Altera公司的Cyclone系列的EP2C5T144C8芯片上编程实现;经仿真,硬件测试和调试根本能够达到技术指标,实验结果和仿真结果根本一致。关键词:PLD,VHDL,数控分频,电子琴The Design of Electrical Organ Abstract
2、:The design is acplished with Programmable-Logic-Device(PLD).The core of it is a numerical control frequency divider, which can divide the input pulse into the corresponding frequency to the musical scale, so that it can achieve the sounding function of simple electronic organ. The organ can play th
3、e musical scale put in with a keyboard . The design is programmed with VHDL and realized in the chip of EP2C5T144C8Cyclone series. It can basically reach the technique index after simulating, hardware debugging.The experiment result is consonant with the simulating result. Keywords:PLD, VHDL, numeri
4、cal control frequency divider, electrical organ一 系统设计1、 设计要求 8个音阶,外部时钟信号12 MHz;能同步显示音阶频率。2 设计思路通过可编程逻辑器件PLD和VHDL硬件描述语言来实现电子琴的根本要求。 设计的主体是数控分频器,对输入的频率按照与每个音阶对应的分频系数进展分频,得到各个音阶对应的频率分别在蜂鸣器和数码管上以声音和频率数值的形式作为输出。 3、系统组成与工作原理图1-2-3-1为系统的工作原理框图。根据设计要求,提供的外部时钟信号为12MHz,所以在本设计中需要将其进展分频,以得到所需要的脉冲 。键盘编码器的作用是对键盘按
5、键输入的信号进展检测,并且产生相应的分频系数。 音调发生器的主要局部是一个数控分频器,它由一个初值可预置的加法计数器构成,详细工作原理将在后文做介绍,当它接收到一个分频信号时,便对端口的12MHz的输入时钟信号进展分频,之后由扬声器输出对应的声调。频率计的作用是将各个音阶对应的分频之后的频率通过数码管显示出来。分频置 数按键分频器12MHZ蜂 鸣 器4位测频器数码管显示图1-2-3-1 工作原理框图二 单元电路设计 1、 顶层模块的设计VHDL采用的是自顶向下的设计方式,顶层模块由音调发生器tonetaba、数控分频器speaker和频率计top三个模块组成。图2-1-1即是顶层设计原理图。由
6、键盘输入的信号,进展编码,输出的都是八位二进制数,对应音调发生器的输入。图2-1-1 顶层设计原理图2、 音调发生器模块(tonetaba)的设计音调发生器的作用是产生获得音阶的分频预置值。当8位发声控制输入index中的某一位为高电平时,如此对应某一音阶的数值将以端口tone输出,作为获得该音阶的分频预置值,该值作为数控分频器的输入,来对12MHz的脉冲进展分频,由此得到每个音阶相应的频率,例如输入index=00000010,即对应的按键是2,产生的分频系数便是1702;同时由high1输出对应该音阶低音显示。各音阶所对应的频率:低音1低音2低音3低音4低音5低音6低音7440Hz高音1高
7、音2高音3高音4高音5高音6高音71760Hz分频系数的计算:低音1的频率为261.6Hz,如此分频系数=1911,同理可求其他频率的分频系数。创新点:利用按键8来区别上下音,当按键8为高电平时,按键1到7分别表示高音的1到7。当按键8为低时,按键1到7为低音的1到7high1=0;-1911high1=0;-1702high1=0;-1517high1=0;-1432 high1=0;-1275;high1 tone=01111110100; high1 tone=00111011110; high1 tone=00110101001; high1 tone=00101111011; hig
8、h1 tone=00101100101; high1 tone=00100111110; high1 tone=00100011100; high1 tone=00011111101; high1null;3 、 数控分频模块speaker的设计数控分频模块的目的是对基准脉冲分频,得到1,2,3,4,5,6,7七个音符对应频率。该模块的VHDL描述中包含了三个进程。首先对12MHz的基准脉冲进展12分频得到1MHz的脉冲,然后按照tone输入的分频系数对1MHz的脉冲再次分频,得到的便是所需要的频率。而第三个进程的作用是在音调输出时再进展二分频,将脉冲展宽,以使扬声器有足够功率发音。十二分频:
9、divideclk : process(clk)variable count4 :std_logic_vector( 3 downto 0);begin preclk11 then preclk=1; count4:=0000; elsif clkevent and clk=1 then count4:=count4 + 1;end if; end process;按分频系数进展分频:genspks: process(preclk,tone) variable count11 :std_logic_vector (10 downto 0);begin if preclkevent and pr
- 配套讲稿:
如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。
- 特殊限制:
部分文档作品中含有的国旗、国徽等图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。
- 关 键 词:
- FPGA 硬件 电子琴

链接地址:https://www.desk33.com/p-21316.html